FPGA logo large

Xilinx Design Constraint WordPress Plugin is Now Available

In earlier posts I discussed the Verilog plugin and syntax highlighting. And at that time I mentioned that I also created a plugin for constraint files. I am happy to share that the plugin for Xilinx Design Constraint (XDC) files is also now available on WordPress.org. I will try to keep this plugin up to date as best I can. Thankfully the XDC file format seems to be relatively stable.

If you happen to spot something missing or incorrect in the plugin please let me know! The source code for the plugin is available on github.

Discover more from FPGA Coding

Subscribe now to keep reading and get access to the full archive.

Continue reading